ISP装置自动量程转换的数字频率计设计

一、用ISP器件设计量程自动转换的数字频率计(论文文献综述)

郑太恒,孙凤乾,刘海英,刘大鹏[1](2021)在《智能频率计的研究与设计》文中指出传统的测量方式采用纯硬件电路进行测量,存在投入成本高、速度慢、占用空间过大等缺点。基于单片机的频率测量方式利用单片机内部的定时/计数器完成信号频率的测量,目前主流的频率测量法有测频法和测周法两大类,这两类方法相比于纯硬件电路测频更加节约成本、节省空间,并且测量速度更快。二者均由简单的频率定义设计,理论上存在一定误差,不能完成高精度测量,且测频法适用于较高频率的测量,测周法适用于较低频率的测量。为扩大测量范围并提高检测精度,本文设计采用传统的51单片机,在测频法和测周法的基础上结合多周期同步测频法,使信号通过特定分频控制模块,由频率计选择合适的量程,在信号输入端添加信号整形模块,将正弦波和三角波转换为方波,方便单片机识别。本设计相对于其他频率计设计简单、造价低、体积小且拥有较高的精度,可以智能的转换波形,选择合适量程,适用于多种频率测量场景。

朱彩莲[2](2019)在《基于FPGA量程自动切换高精度数字频率计的设计》文中提出数字频率计是一种应用十分广泛的电子测量仪表,针对宽频率范围被测信号频率测量应用需求,提出了一种基于FPGA的自动量程切换高精度数字频率计的设计方法。通过构建量程测量、计数时钟和闸门产生、周期测量、除法器、小数点产生等模块,采用VHDL语言进行描述设计,运用现代数字系统设计方法实现了宽频率高精度频率的测量,通过逻辑仿真和硬件下载测试,验证了设计的可行性。

向广兴[3](2018)在《智能高频脉冲参数测量系统设计》文中进行了进一步梳理在电子信息高速发展的今天,对脉冲信号的参数测量显得越来越重要,各个学科领域对脉冲信号参数的测量也越来越多,同时也对测量精度有了更高的要求。脉冲信号中包涵很多重要的信息,通过对脉冲信号参数的测量可以有效的将脉冲信号中的有用信息还原。脉冲信号参数测量主要是对时域参数如脉冲幅度、脉冲频率、脉冲宽度以及脉冲上升时间等参数指标的测量,同时进行进一步的算法计算,获取脉冲参数的细节信息。脉冲参数测量仪的智能化、便携性、高性能以及网络化是脉冲参数测量系统的重点研究方向。传统的脉冲参数测量仪体积大、移动不方便、与其它设备不能通讯,从而无法进行脉冲测量参数的实时传输、远程操控,很大程度上限制了设备的使用范围。随着嵌入式系统的广泛应用,基于嵌入式的脉冲参数测量系统具有开发成本低,体积小,功耗低,开发灵活等优点,同时方便与其它设备进行联网、通信,提高了脉冲参数测量仪的使用范围和智能化程度,更能适应现代物联网发展的大趋势。仪表的智能化将是未来仪表研究领域的重要研究方向。本文设计了一种基于FPGA的脉冲参数测量系统,利用FPGA的高速数据处理能力,对高频信号进行数据采集以及数据处理,同时以STM32单片机作为整个脉冲参数测量系统的控制模块,完成人机交互和测量数据的远程传输。分析了高速A/D数据采样的过程及数字移相技术,同时将数字移相技术与脉冲参数测量相结合,有效的提高了系统的测量精度。并且通过加入无线数据传输模块,可以将测量数据传输到远程终端以及远程终端对系统的控制,实现了脉冲参数测量系统与其它设备的互联。最后通过系统的硬件设计、软件设计及仿真,完成对高频脉冲参数的测量。由于FPGA处理速度快,并且可以在内部直接实现比较器,运算器等模块,使系统的设计更加简化,设计的灵活性也更高。

金真平[4](2018)在《基于FPGA的电路板多功能测试仪设计与开发》文中指出如今电子设备在通讯技术、航天军工、汽车电子、医疗器械、智能家居等领域的应用越来越广,其硬件系统的复杂程度和规模也不断的提高。这对电子设备生产过程中的PCB电路板测试带来了新的要求。测试技术水平对一个产品的整体质量起到了关键性的作用,测试测量得出的结果也可以对前期的研发有着反馈作用,从而使产品更加完善。另外,在产业化大规模生产过程中,测试成本对整个产品成本影响巨大,甚至会直接影响着产品上市的竞争力。一个好的电路测试方案已经成为复杂电子设备运行的可靠保障之一。本文结合某通信公司通信业务板卡实际功能测试需求,考虑到传统大规模测试平台搭建时需要很多台仪表来覆盖不同的测试案例造成测试投入成本高、测试仪互联不稳定的特点,提出了一种基于FPGA的可编程多功能测试方案,将传统测试仪的一些功能,例如电压检测、频率计、通用接口等集成在一台仪表中。该方案通过对测试系统硬件、功能模块重新设计,提高电路板测试效率同时也使得测试成本大大降低。硬件设计方面使用FPGA作为仪器的核心,单片机负责和上位机的交互。由于FPGA接口丰富且代码执行过程完全可以并行执行,在多任务执行过程中测试效率有显着的提升。单片机和上位机的通信可以更方便的处理字符串信息,更好的将字符串转换为控制信息。功能模块方面由FPGA和对应的芯片组成,FPGA控制芯片完成测试激励输出或者采集测试数据。每个芯片对应传统仪表的某个功能,将多个不同仪表的功能集成在一个仪表中,在实际测试时使其具有多个仪表的功能,降低了产品测试期间的仪表投入节约了测试成本。测试仪开发完成后,通过对其功能、性能、测试速率等方面与传统测试平台做比较,结果符合预期。目前该测试仪已经用于工厂实际测试中,不仅仅节约了初次投入的成本还节约了平时使用时电力损耗。随着工厂测试需求的增加,系统的使用范围也从一个产品到覆盖多个产品。另外该测试仪还预留了一些可编程接口,能够实现功能用户自定义模块,使得仪表的资源利用率更高、灵活性更强,能很好的适应未来可能新增的测试要求。

王鹏,孙秋菊,陈咏恩[5](2017)在《一种增强型宽带数字频率计设计》文中研究说明以FPGA为处理核心,设计了一种增强型宽带数字频率计,不仅可完成频率周期的测量,还可以进行占空比、相位差等测量操作,最小输入电压有效值为10 m V,且输入频率范围可达1 Hz100 MHz。并利用MCS-51单片机对FPGA测量的原始结果进行后续处理和显示,充分发挥了单片机与FPGA的特长,比传统的软核FPGA方案及CPU方案具有更好的工程实用性。

邝震[6](2016)在《一种6(1/2)经济型高精度数字万用表的设计与实现》文中进行了进一步梳理数字万用表或称之为数字式多用表。它是一种能将现实世界中的模拟信号转行成离散的数字信号,并能量化展现出来的数字仪器。使用多斜坡积分式模数转换器的数字万用表,可以获得非常高的分辨率、数码量与测试速度,从而实现了最优的分辨率和速度的组合。目前广泛应用与高精度数字万用表、皮安计、纳伏表、电流源表等高端测试测量领域。本文研究的是使用多斜坡式积分模数转换器为核心的一种数字万用表的设计。使用这种方法设计的数字万用表能够测量直流电流电压、交流电流电压、电阻值含高精度4线测试法,以及频率等。本文主要讨论的是整个系统的阻抗测量模块、直流测量模块、交流测量模块、浮点电压源模块、积分式数模转换器模块,以及以上各模块如何实现的高精度和低成本。数字控制模块等辅组模块不做过的深入讨论。多斜坡积分式模数转换器在积分过程中加入了针对基准参考电压的积分,很大程度上降低了整个系统的动态范围,提高了分辨率、数码采集数量和测量速度,并且减少了旁路电路对运算的影响,使得电路的开关次数与被测信号的大小和极性都无关系。同时,由于其使用的大量通用器件整个系统价格经济,因此近年来多斜坡积分式模数转换器在高端的测试测量领域使用的越来越广泛。

胡文静,张国云,刘翔,胡虚怀[7](2012)在《量程自整定高精度频率测量的FPGA实现》文中认为数字频率计是一种应用十分广泛的电子测量仪表,针对宽频率范围被测信号频率测量应用需求,提出并实现了一种基于FPGA的自动量程切换高精度数字频率计的设计方法。通过构建测频控制器、闸门同步生成器、量程自动切换等模块,并采用Verilog HDL语言进行描述,运用自顶向下的数字系统设计方法实现了宽频率范围频率测量的量程自动切换。在Xilinx公司的XUPV5-LX110T开发板上进行了测试,给出了系统后仿真波形。结果表明目标系统能根据被测信号频率范围进行自动量程切换,实现高精度频率测量,测量精度不低于10-7,有效提高系统稳定性和抗电磁干扰能力。

王昊鹏,刘泽乾[8](2011)在《简易数字频率计设计与实现》文中进行了进一步梳理介绍了数字频率计电路的设计,该电路由多种模块组成,实现了频率和周期的测量,同时,本设计还使用了扩展电路扩大了测量范围。

徐秀妮[9](2011)在《基于VHDL语言的全同步数字频率计的设计与研究》文中提出频率信号具有较强的抗干扰能力,传输起来比较容易,与其他信号相比可以获得较高的测量精度。因此,在电子测量领域中,频率是一个基本而又非常重要的参数,频率测量也成为最重要的测量之一。随着电子测量技术的发展,越来越多的高频或超高频信号器件被广泛应用于生产生活中,原有的频率计已不能满足测量的需求,这就要求我们研究精度更高、速度更快的频率测量方法。EDA技术的发展和FPGA等大规模可编程逻辑器件的广泛应用,使设计者利用硬件描述语言(Hardware Description Language)和EDA软件就可以对系统硬件的功能进行设计和重构,使硬件设计软件化,可以像软件编程一样方便快捷。这改变了传统的电子设计方法,也改变了频率计的传统设计方法。本文详细阐述了几种常用的测频方法的原理和误差。在多周期同步测频法中由于被测信号和实际闸门信号同步,因此消除了被测信号±1个字的计数误差,但基准频率信号±1个字的计数误差仍然存在。在全同步测频法中实现了被测信号、基准频率信号和实际闸门信号的全同步,彻底消除了±1个字的计数误差。全同步的实现方法是实际闸门信号的开启与关闭由检测到的被测信号和基准频率信号的相位同步脉冲控制,当相位同步时计数开始,相位再次同步时计数停止。本频率计采用的是全同步数字测频法并在FPGA可编程逻辑器件上进行设计实现。先根据测频原理框图给出了总体设计方案,然后依据自上而下的设计方法,将频率计的核心即测频部分按照实现功能的不同划分为多个模块,并给出了每一个模块的详细设计方法,并在Quartus II软件环境中进行了仿真。为了使频率计成为完整的频率测量系统,本文还对频率计的附件模块如基准频率信号产生模块、信号整形模块进行了硬件电路设计。本文最后提出了基于SOPC的全同步数字频率计的方案,简单介绍了系统软硬件设计的思想。采用VHDL语言编程、以FPGA为硬件设计载体、基于SOPC集成开发工具的频率计的设计,具有重要的理论意义和实际应用价值。

张宏亮[10](2009)在《基于数字频率计的FPGA开发应用研究》文中进行了进一步梳理本文结合数字频率计的设计研究了PFGA技术及其应用。FPGA代表了当前EDA技术发展的最新方向,它能够通过HDL语言的设计,借助EDA开发工具,在一片芯片上实现一个复杂系统的大部分硬件电路功能,具有设计灵活、高效、成本低、开发周期短的特点。结合实例开发项目研究FPGA应用技术对建立和完善高校EDA课程和实践体系有重要意义。文中首先研究了FPGA开发及应用技术的相关内容:包括有EDA和PLD的发展过程、PLD分类、FPGA结构及性能、FPGA产品及编程、HDL语言和PFGA开发工具Quartus II。然后结合设计选题分析和比较了几种常用的数字频率计的测量方法,为下一步的设计奠定了理论基础。接下来采用系统级设计方法,根据直接测频原理建立数字频率计的系统结构框图,自顶向下把数字频率计分成若干个功能模块。对每一个模块用VHDL语言进行描述并用Quartus II仿真,确定其功能和性能要求正确实现后,将各个模块级联起来构成数字频率计顶层电路,最后的仿真结果表明该数字频率计的功能要求都得以实现,并且各项性能指标符合设计要求。最后,文中给出了PFGA器件选型和配置以及几个必要的外围硬件电路设计。

二、用ISP器件设计量程自动转换的数字频率计(论文开题报告)

(1)论文研究背景及目的

此处内容要求:

首先简单简介论文所研究问题的基本概念和背景,再而简单明了地指出论文所要研究解决的具体问题,并提出你的论文准备的观点或解决方法。

写法范例:

本文主要提出一款精简64位RISC处理器存储管理单元结构并详细分析其设计过程。在该MMU结构中,TLB采用叁个分离的TLB,TLB采用基于内容查找的相联存储器并行查找,支持粗粒度为64KB和细粒度为4KB两种页面大小,采用多级分层页表结构映射地址空间,并详细论述了四级页表转换过程,TLB结构组织等。该MMU结构将作为该处理器存储系统实现的一个重要组成部分。

(2)本文研究方法

调查法:该方法是有目的、有系统的搜集有关研究对象的具体信息。

观察法:用自己的感官和辅助工具直接观察研究对象从而得到有关信息。

实验法:通过主支变革、控制研究对象来发现与确认事物间的因果关系。

文献研究法:通过调查文献来获得资料,从而全面的、正确的了解掌握研究方法。

实证研究法:依据现有的科学理论和实践的需要提出设计。

定性分析法:对研究对象进行“质”的方面的研究,这个方法需要计算的数据较少。

定量分析法:通过具体的数字,使人们对研究对象的认识进一步精确化。

跨学科研究法:运用多学科的理论、方法和成果从整体上对某一课题进行研究。

功能分析法:这是社会科学用来分析社会现象的一种方法,从某一功能出发研究多个方面的影响。

模拟法:通过创设一个与原型相似的模型来间接研究原型某种特性的一种形容方法。

三、用ISP器件设计量程自动转换的数字频率计(论文提纲范文)

(1)智能频率计的研究与设计(论文提纲范文)

1 智能频率计
    1.1 概 述
    1.2 测量原理
2 系统硬件设计
    2.1 信号整形模块设计
    2.2 分频选频模块设计
    2.3 单片机内部的定时器/计数器
    2.4 显示电路模块设计
3 系统软件设计
4 系统仿真
    4.1 系统仿真
    4.2 误差分析
5 结 论

(2)基于FPGA量程自动切换高精度数字频率计的设计(论文提纲范文)

1 FPGA总体结构设计
2 模块设计
    2.1 量程测量
    2.2 计数时钟和闸门产生
    2.3 周期测量
    2.4 除法器
    2.5 小数点产生
    2.6 多路选择器mux
    2.7 数码显示模块
3 顶层电路设计
4 设计仿真及硬件下载
5 结论

(3)智能高频脉冲参数测量系统设计(论文提纲范文)

摘要
abstract
第一章 绪论
    1.1 研究背景及意义
    1.2 国内外研究现状与发展趋势
    1.3 研究内容及论文结构
第二章 基于FPGA的脉冲信号测量及处理技术
    2.1 FPGA锁相环及数字移相
        2.1.1 FPGA锁相环基本原理
        2.1.2 锁相环在频率合成电路中的应用
        2.1.3 数字移相技术
    2.2 基于A/D转换的高速数据采集
        2.2.1 A/D转换原理及过程
        2.2.2 A/D转换采样保持性能分析
        2.2.3 量化与量化误差
    2.3 本章小节
第三章 系统总体方案及硬件设计
    3.1 系统整体设计
    3.2 信号处理电路及系统硬件设计
        3.2.1 量程处理电路
        3.2.2 滤波整形电路
        3.2.3 A/D转换电路
        3.2.4 单片机与FPGA最小系统
        3.2.5 系统供电电路设计
        3.2.6 无线数据传输模块
        3.2.7 SPI通信
    3.3 本章小结
第四章 脉冲信号参数测量实现
    4.1 脉冲信号幅值测量
        4.1.1 基于峰值检波法的脉冲幅值测量方法
        4.1.2 基于高速数据采集的脉冲幅值测量方法
    4.2 脉冲信号频率测量
        4.2.1 直接测频法
        4.2.2 等精度测频法
        4.2.3 基于数字移相的等精度测频法
    4.3 脉冲信号宽度测量
    4.4 脉冲信号上升时间测量
        4.4.1 基于窗口比较器的脉冲上升时间测量方法
    4.5 本章小结
第五章 系统测试与误差分析
    5.1 系统幅度测量模块测试
        5.1.1 A/D数据采集模块测试
        5.1.2 系统幅值实际测量
    5.2 系统频率测量模块测试
        5.2.1 脉冲信号频率测量误差分析
        5.2.2 系统频率实际测量
    5.3 系统脉冲宽度测量模块测试
        5.3.1 系统脉冲宽度测量误差分析
        5.3.2 系统脉冲宽度实际测量
    5.4 系统脉冲宽度测量模块测试
        5.4.1 系统脉冲上升时间测量误差分析
        5.4.2 系统脉冲上升时间实际测量
    5.5 本章小结
第六章 总结与展望
参考文献
致谢
攻读硕士学位期间发表论文情况
附录 A 系统总电路图
附录 B RTL模块图
附录 C 系统整体仿真时序图
附录 D 实物展示

(4)基于FPGA的电路板多功能测试仪设计与开发(论文提纲范文)

摘要
Abstract
第1章 绪论
    1.1 研究背景、目的及意义
    1.2 国内外研究现状
    1.3 研究结构安排
第2章 测试仪的硬件设计
    2.1 多功能测试仪介绍
    2.2 仪器的总体功能说明
    2.3 仪器的硬件结构
        2.3.1 电源模块
        2.3.2 单片机模块
        2.3.3 FPGA模块
        2.3.4 ADC模块
        2.3.5 LCD模块
        2.3.6 Relay模块
        2.3.7 PCB设计
    2.4 本章小结
第3章 主控制器FPGA设计
    3.1 FPGA概述
    3.2 FPGA开发流程
    3.3 FPGA的配置方式概述
    3.4 FPGA总体设计
        3.4.1 FPGA功能模块说明
        3.4.2 复位信号设计
        3.4.3 数据交换设计
    3.5 FPGA模块接口设计
        3.5.1 仪器的通讯结构
        3.5.2 FPGA主模块设计
        3.5.3 ADC模块接口设计
        3.5.4 频率计接口设计
        3.5.5 通用接口设计
    3.6 本章小结
第4章 电压信号采集设计
    4.1 ADC概述
        4.1.1 并行比较型ADC概述
        4.1.2 逐次逼近型ADC概述
    4.2 AD7490芯片驱动设计
        4.2.1 AD7490概述
        4.2.2 AD7490硬件接口
        4.2.3 AD7490控制寄存器
        4.2.4 ADC采集FPGA设计
    4.3 本章小结
第5章 数字频率计设计
    5.1 频率计概述
        5.1.1 直接测频法
        5.1.2 测周期法
        5.1.3 等精度测量法
    5.2 频率计FPGA功能模块设计
        5.2.1 频率计设计要求分析
        5.2.2 频率计FPGA设计
    5.3 本章小结
第6章 通用接口设计
    6.1 通用接口概述
    6.2 RS-232接口设计
        6.2.1 RS-232概述
        6.2.2 串口设计模块划分
        6.2.3 接收器模块设计
    6.3 IIC接口设计
        6.3.1 IIC概述
        6.3.2 IIC总线的协议原理
        6.3.3 IICFPGA驱动设计
    6.4 SPI接口设计
        6.4.1 SPI概述
        6.4.2 SPI总线的协议原理
        6.4.3 SPIFPGA驱动设计
    6.5 本章小结
第7章 测试与验证
    7.1 时序验证
        7.1.1 AD7490时序验证
        7.1.2 频率计时序验证
        7.1.3 RS232时序验证
        7.1.4 IIC时序验证
        7.1.5 SPI时序验证
        7.1.6 LCD时序验证
        7.1.7 其他功能说明
    7.2 实际应用
第8章 总结与展望
    8.1 总结
    8.2 展望
参考文献
致谢

(5)一种增强型宽带数字频率计设计(论文提纲范文)

1 系统设计
    1.1 放大整形模块设计
    1.2 数字测量模块设计
    1.3 异步串行模块设计
    1.4 辅助模块
    1.5 单片机及显示程序设计
2 实现结果及分析
3 结束语

(6)一种6(1/2)经济型高精度数字万用表的设计与实现(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 研究背景
    1.2 国内外研究现状
        1.2.1 典型的数字万用表基本技术参数
        1.2.1.1 VICTOR8145 型、VICTOR8155 型双显示数字万用表
        1.2.1.2 UT805A型自动量程真有效值数字台式万用表
        1.2.1.3 HIKOI3237/3238/3239 五位半高速数字万用表
        1.2.1.4 Fluke8845A/8846A六位半多用途数字万用表
        1.2.1.5 Keysight3458A八位半高精度数字万用表
        1.2.2 典型数字万用表关键指标的对比
    1.3 研究内容和章节安排
        1.3.1 研究内容
        1.3.2 章节内容安排
第二章 设计指标和基本技术要素
    2.1 设计指标
    2.2 分辨率和灵敏度
    2.3 准确度
    2.4 负载影响与输入阻抗
    2.5 速度与建立时间
    2.6 串模与共模抑制比
    2.7 本章小结
第三章 高精度A/D转换器的选型与实现
    3.1 早期的双斜坡积分式模数转换器设计
    3.2 多斜坡积分式模数转换器的结构方案
    3.3 多斜坡积分式模数转换器的程控配置和改进算法
        3.3.1 积分周期内的程序控制
        3.3.2 消积分周期内的程序控制
        3.3.3 算法的优化方案
    3.4 提高精确度和解析度的方法
    3.5 多斜坡积分式模数转换器的电路实现
    3.6 多斜坡积分式模数转换器与其它方案的优缺点
    3.7 本章小结
第四章 各主要功能模块的电路设计
    4.1 前端衰减电路
    4.2 欧姆测量电路
    4.3 电流测量电路
    4.4 交流测量电路
    4.5 多路数据选择器和后端放大电路
    4.6 多高精度高稳定度恒压源
    4.7 本章小结
第五章 主要性能的测试与验证
    5.1 性能测试的基本技术要求
    5.2 直流测量功能的精度测试和稳定性追踪
        5.2.1 直流电压测量性能
        5.2.2 直流电流测量性能
    5.3 交流测量功能的精度测试和稳定性追踪
        5.3.1 交流电压测量性能
        5.3.2 交流电流测量性能
    5.4 四线电阻测量功能的精度测试和稳定性追踪
    5.5 主要技术指标最终测试结果
    5.6 本章小结
第六章 全文总结
    6.1 主要结论
    6.2 研究展望
参考文献
附录
致谢
攻读硕士学位期间已发表或录用的论文

(7)量程自整定高精度频率测量的FPGA实现(论文提纲范文)

1 系统硬件结构
    1.1 端口映射
    1.2 系统结构及主要模块概述
2 模块设计
    2.1 测频控制器
    2.2 标准时基发生器
    2.3 闸门同步生成器
    2.4 自动量程切换
3 测试结果

(8)简易数字频率计设计与实现(论文提纲范文)

1 数字频率计设计
    1.1 数字频率计的主要技术指标的分析
        1) 频率准确度
        2) 频率测量范围
        3) 数字显示位数
        4) 测量时间
    1.2 基本电路设计
        1) 放大整形电路
        2) 时基电路
        3) 逻辑控制电路
    1.3 扩展电路的设计
        1) 闸门电路
        2) 分频电路
2 电路的设计及参数的计算
    2.1 稳压电源 (第一模块)
        1) 交直流转换电路
        2) AC-DC转换电路
        3) 线性稳压电路
        4) 恒压差控制
    2.2 AC-DC变换器 (第二模块)
        1) AC-DC变换器的原理:
        2) AC-DC变换器的控制电路
    2.3 显示电路 (第三模块)
3 结束语

(9)基于VHDL语言的全同步数字频率计的设计与研究(论文提纲范文)

摘要
Abstract
第一章 绪论
    1.1 课题的研究背景
    1.2 频率计发展现状
    1.3 课题的研究内容
    1.4 论文的结构
    1.5 本章小结
第二章 FPGA开发环境及开发流程
    2.1 FPGA概述
    2.2 FPGA开发环境简介
        2.2.1 VHDL语言概述
        2.2.2 Quartus Ⅱ简介
        2.2.3 SOPC简介
    2.3 基于Quartus Ⅱ的典型FPGA设计流程
    2.4 基于Quartus Ⅱ和Nios Ⅱ的SOPC基本开发流程
    2.5 本章小结
第三章 全同步数字测频建模
    3.1 脉冲计数测频法的原理
    3.2 基于脉冲计数的直接测频法的模型
        3.2.1 直接测频法原理
        3.2.2 直接测频法误差分析
    3.3 基于脉冲计数的周期测频法的模型
        3.3.1 周期测频法原理
        3.3.2 周期测频法误差分析
    3.4 多周期同步测频模型
        3.4.1 多周期同步测频法的原理
        3.4.2 多周期同步测频法误差分析
    3.5 全同步测频模型
        3.5.1 全同步测频法的原理
        3.5.2 全同步测频法的实现方法
        3.5.3 全同步测频法的误差分析
        3.5.4 全同步数字频率计原理框图
        3.5.5 基于FPGA的全同步数字频率计的总体方案设计
    3.6 本章小结
第四章 基于FPGA的全同步数字频率计各模块的实现
    4.1 脉冲同步检测电路
    4.2 频率测量及运算模块
        4.2.1 计数器的设计
        4.2.2 乘法器的设计
        4.2.3 除法器的设计
        4.2.4 控制信号发生器模块
    4.3 BCD码转换、译码及显示模块的设计
        4.3.1 BCD码转换模块的设计
        4.3.2 译码及显示模块的设计
    4.4 顶层VHDL的程序设计及整体仿真结果
    4.5 基准频率信号产生模块的设计
    4.6 信号整形模块的设计
    4.7 本章小结
第五章 基于SOPC的全同步数字频率计的方案研究
    5.1 建立SOPC系统
    5.2 Nios Ⅱ系统模块的设计
        5.2.1 Nios Ⅱ系统模块的创建
        5.2.2 分配IP模块的基地址和中断号
        5.2.3 生成Nios Ⅱ系统并加入到工程中
    5.3 基于SOPC的全同步数字频率计的硬件设计
        5.3.1 基准频率信号产生模块
        5.3.2 全同步测频计数模块
        5.3.3 分频及时序控制模块
        5.3.4 数据选择锁存处理模块
        5.3.5 Nios Ⅱ CPU及其片上外设
    5.4 基于SOPC的全同步数字频率计的软件设计
    5.5 基于SOPC的全同步数字频率计系统的集成及测试
    5.6 本章小结
结论及展望
参考文献
攻读硕士学位期间发表的论文
致谢

(10)基于数字频率计的FPGA开发应用研究(论文提纲范文)

表目录
图目录
摘要
ABSTRACT
第一章 绪论
    1.1 课题背景
    1.2 研究内容
    1.3 EDA 技术概述
    1.4 本章小结
第二章 FPGA 开发技术概述
    2.1 PLD 发展进程
    2.2 PLD 分类
    2.3 CPLD 和FPGA 的比较
    2.4 PLD 开发语言
        2.4.1 HDL 简介
        2.4.2 HDL 学习的几点重要提示
        2.4.3 HDL 开发流程
    2.5 FPGA 器件及其编程
        2.5.1 Altera 公司FPGA 器件简介
        2.5.2 Altera 公司FPGA 器件编程
        2.5.3 FPGA 通用下载
    2.6 Quartus II 使用简介
    2.7 本章小结
第三章 频率计测量原理
    3.1 频率计概述
        3.1.1 频率计研究价值
        3.1.2 频率计发展现状
    3.2 频率测量原理
        3.2.1 传统测量方法
        3.2.2 直接测频法
        3.2.3 等精度测频法
        3.2.4 提高测量精度的方法研究
        3.2.5 全同步测频法
    3.3 本章小结
第四章 系统分析和系统设计
    4.1 系统设计要求
    4.2 系统分析
    4.3 系统结构和模块划分
    4.4 本章小结
第五章 模块设计及仿真
    5.1 量程估计模块
        5.1.1 量程估计原理
        5.1.2 7位BCD 计数器
        5.1.3 量程估计功能的实现
    5.2 计数时钟和闸门产生模块
    5.3 周期测量计数器模块
    5.4 除法器模块
        5.4.1 除法器原理
        5.4.2 7 位BCD 减法器
        5.4.3 7 位BCD 减法计数器
        5.4.4 除法器实现
    5.5 小数点产生模块
    5.6 数字频率计的顶层电路实现
    5.7 本章小结
第六章 硬件电路设计
    6.1 被测信号放大整形电路
    6.2 模式输入接口电路
    6.3 显示电路设计
    6.4 FPGA 芯片及配置
        6.4.1 FPGA 芯片简介
        6.4.2 EPC2 配置芯片简介
        6.4.3 下载方式
        6.4.4 FPGA 硬件接口电路
    6.5 频率计硬件电路结构
    6.6 本章小结
第七章 结论与展望
    7.1 本设计的特点
    7.2 本设计的不足
    7.3 总结与展望
参考文献
作者简介及在学期间取得的学术成果
致谢

四、用ISP器件设计量程自动转换的数字频率计(论文参考文献)

  • [1]智能频率计的研究与设计[J]. 郑太恒,孙凤乾,刘海英,刘大鹏. 齐鲁工业大学学报, 2021(02)
  • [2]基于FPGA量程自动切换高精度数字频率计的设计[J]. 朱彩莲. 电子世界, 2019(20)
  • [3]智能高频脉冲参数测量系统设计[D]. 向广兴. 大连工业大学, 2018(08)
  • [4]基于FPGA的电路板多功能测试仪设计与开发[D]. 金真平. 南昌航空大学, 2018(11)
  • [5]一种增强型宽带数字频率计设计[J]. 王鹏,孙秋菊,陈咏恩. 科学技术与工程, 2017(02)
  • [6]一种6(1/2)经济型高精度数字万用表的设计与实现[D]. 邝震. 上海交通大学, 2016(01)
  • [7]量程自整定高精度频率测量的FPGA实现[J]. 胡文静,张国云,刘翔,胡虚怀. 电子技术应用, 2012(01)
  • [8]简易数字频率计设计与实现[J]. 王昊鹏,刘泽乾. 四川兵工学报, 2011(09)
  • [9]基于VHDL语言的全同步数字频率计的设计与研究[D]. 徐秀妮. 长安大学, 2011(04)
  • [10]基于数字频率计的FPGA开发应用研究[D]. 张宏亮. 解放军信息工程大学, 2009(02)
点击进入下载PDF全文

相关文章

QQ咨询